СОДЕРЖАНИЕ

1.Лабораторная работа№1 «ИССЛЕДОВАНИЕ ФУНКЦИОНАЛЬНЫХ СВОЙСТВ ТРИГГЕРОВ»
2.Лабораторная работа№2 «ЦИФРОВЫЕ АВТОМАТЫ»
3.Лабораторная работа№3 «ИССЛЕДОВАНИЕ ФУНКЦИОНАЛЬНЫХ СВОЙСТВ РЕГИСТРОВ»
4.Лабораторная работа№4 «СЧЕТЧИКИ ИМПУЛЬСОВ»
ЛИТЕРАТУРА

1. ИССЛЕДОВАНИЕ ФУНКЦИОНАЛЬНЫХ СВОЙСТВ ТРИГГЕРОВ

1.1. Цель лабораторной работы

Изучение функциональных свойств триггеров, экспериментальное исследование режимов их и синтез цифрового автомата.

1.2. Основные сведения

Триггеры - это простейшие представители цифровых устройств последовательностного типа т.е. устройств или автоматов, обладающих памятью. Последовательностные устройства характеризуются определенным числом внутренних состояний. В каждый конкретный момент времени оно может находиться только в одном из возможных состояний. Переход устройства из одного состояния в другое осуществляется под действием внешних управляющих сигналов. Однако, значение выходного сигнала нельзя определить только по состоянию входных, поскольку оно зависит не только от входной информации, но и от предыдущего состояния устройства.
Триггером называется устройство способное находиться в одном из двух устойчивых состояний и скачкообразно переходить из одного в другое под действием внешних управляющих сигналов. Данные состояния триггера определяются как состояние 0 и состояние 1. Триггер может находиться в любом из состояний неограниченный промежуток времени, до поступления внешнего воздействия или отключения питания.
Простейший триггер представляет собой одноразрядную ячейку памяти. В общем случае он снабжается определенной входной комбинационной схемой. Триггер снабжается двумя выходами: прямым Q и инверсным. Состояние сигналов на данных выходах может быть только противофазным. Говоря о состоянии триггера подразумевают значение выходного сигнала на выходе Q. При наличии уровня лог. 1 на прямом выходе Q говорят, что: «триггер находится в состоянии 1», либо: «триггер установлен», либо «триггер взведен». При наличии же на данном выходе лог. 0 оперируют понятиями: «триггер находится в состоянии 0», либо: «триггер сброшен».
Существующие типы триггеров могут быть классифицированы по различным признакам. Наиболее часто триггеры классифицируют по типу используемых информационных входов. Различают следующие типы основных информационных входов триггера:
R – раздельный вход сброса триггера (Q=0);
S – раздельный вход установки триггера (Q=1);
К – вход сброса универсального триггера (Q=0);
J – вход установки универсального триггера (Q=1);
Т – счетный вход триггера;
D – информационный вход переключения триггера в состояние, соответствующее логическому уровню на этом входе;
С – управляющий или синхронизирующий вход.
Кроме этих основных входов некоторые триггеры могут снабжаться входом V. Вход V блокирует работу триггера и он сколь угодно долго может сохранять ранее записанную в него информацию.
С точки зрения типа используемых входов различают RS-, D-,  T-,  JK-,  VD-,  VT- триггеры.
По виду реакции на входные сигналы триггеры подразделяют на асинхронные и синхронные
Асинхронный триггер изменяет свое состояние непосредственно в момент изменения сигнала на его информационных входах. Синхронный триггер изменяет свое состояние лишь в строго определенные (тактовые) моменты времени, соответствующие действию активного сигнала на его синхронизирующем входе С.
УГО асинхронного RS – триггера представлено на рисунке 1.1.

                                       
                        а)                                          б)
Рисунок 1.1. УГО асинхронного RS – триггера с прямыми и инверсными входами.

По виду активного логического сигнала, действующего на синхронизирующем входе триггеры подразделяют на статические – управляемые уровнем, и динамические – управляемые перепадом входного сигнала. При этом информационные входы могут быть прямыми и инверсными. Для переключения триггера на его прямой вход необходимо подать сигнал Х , а на инверсный сигнал.
Так, определения "синхронный RS-триггер с прямыми статическими входами" и "синхронный RS-триггер со статическими входами" означают, что рассматриваемый триггер имеет два информационных входа: вход установки S, вход сброса R и синхронизирующий вход С. Переключение триггера происходит в моменты времени, определяемые появлением активного логического сигнала на входе синхронизации (С = 1), причем для переключения на входы R или S необходимо подать высокий логический уровень, т. е. сигнал лог.1 (R = 1 или S = 1). Эти входы соответственно обозначают: C, R, S. УГО данного триггера приведено на рисунке 1.2.а. Если триггер определен как "синхронный RS-триггер с инверсными статическими входами", то в этом случае, он также имеет два информационных входа: S, R и синхронизирующий вход С. Однако переключение триггера будет происходить при наличии на входе С сигнала лог.0. И активными уровнями для входов R и S будут сигналы лог. 0. УГО данного триггера приведено на рисунке 1.2.б.

                                       

                        а)                                          б)
Рисунок 1.2. УГО синхронного RS – триггера с прямыми и инверсными входами.

На УГО RS триггеров допускается менять местами входы R и S. Для триггеров других типов это не допустимо. Простейшие асинхронные RS триггеры строятся на двух элементах 2ИЛИ-НЕ либо 2И-НЕ. Поскольку активными сигналами для элементов ИЛИ, ИЛИ-НЕ являются лог. 1, то триггер, построенный на таких элементах, будет чувствителен к сигналам высокого уровня. Он будет переключаться при подаче на его входы лог.1. Для элементов и, И-НЕ активными уровнями являются уровни лог.0. Поэтому триггер, созданный из данных элементов, будет переходить в новое состояние при поступлении на его входы сигналов лог.0. Функциональные схемы данных триггеров приведены на рисунке 1.3.а и 1.3.б – соответственно. Из рисунка видно, что в триггерах меняется положение входов R и S.

                                
                        а)                                        б)

Рисунок 1.3. Функциональные схемы асинхронных RS триггеров: а -  на элементах ИЛИ-НЕ; б – на элементах И-НЕ.

Описание работы триггера может задано в виде таблицы истинности или в виде функции алгебры логики (ФАЛ).Отличительной особенностью описания триггеров, как представителей класса последовательностных устройств, является использование в качестве дополнительной входной переменной значения сигнала Qn, т.е. предыдущего значения выходного сигнала триггера. Обобщенная таблица истинности асинхронных RS триггеров, построенных на логике ИЛИ-НЕ и И-НЕ представлена в таблице 1.1.

Таблица 1.1. Таблица истинности RS триггеров.

Значения исходных параметров

Состояние выхода

Логика ИЛИ-НЕ

Логика И-НЕ

R

S

Qn

Qn+1

Qn+1

0

0

0

0

Qn

запрет

0

0

1

1

0

1

0

1

1

 

0

0

1

1

1

 

1

0

0

0

0

 

1

1

0

1

0

 

1

1

0

запрет

 

Qn

1

1

1

 

Триггер типа RS не допускает одновременно наличие на входах R и S активных сигналов. В этом случае не выполняется условие его функционирования, поскольку на выходах Q и логические уровни перестают быть взаимно инверсными и принимают одинаковые значения. Данные комбинации считаются запрещенными. Логическое выражение, определяющее функционирование асинхронного RS – триггера с прямыми входами, имеет вид:
                  _
Qn+1=S V RQn                                             (1.1)
 а для триггера с инверсными входами:
            _
Qn+1= SVRQn                                             (1.2)
Синхронный RS – триггер снабжен дополнительным входом синхронизации С. ФАЛ синхронных RS – триггеров с прямыми и инверсными входами легко получить из выражений (1.1) и (1.2):
              _                     _
Qn+1 = CQn V C(S V RQn)                      (1.3)
              _              _             
Qn+1 = CQn V C(S V RQn)                      (1.4)
В качестве примера на рисунке 1.4 приведена функциональная схема синхронного RS триггера на элементах ИЛИ-НЕ.

Рисунок 1.4 Функциональная схема синхронного RS триггера на элементах ИЛИ-НЕ.

Триггер – защелка или D-триггер обычно снабжен только одним информационным входом D. Этот триггер может быть только синхронным. Информация со входа D переписывается на выход триггера только по сигналу синхронизации C. До тех пор, пока синхросигнал активен, триггер пропускает входную информацию со входа D на выход Q. При пассивном синхросигнале триггер не чувствителен к изменениям информационного сигнала. Работа D-триггера описывается следующим выражением:
              _              
Qn+1 = CQn V CD                                       (1.5)
Функциональная схема D – триггера приведена на рисунке 1.5, а и условное графическое изображения - на рисунке 1.6.

Рисунок 1.5 Функциональная схема D триггера

Рисунок 1.6. УГО D – триггера.

Счетный или Т-триггер переключается из исходного состояния в противоположное под действием синхросигнала. Из этого следует, что триггер должен быть синхронным. Для его реализации попробуем воспользоваться D-триггером. Построим Т-триггер на базе D-триггера, приведенного на рисунке 1.6. Полученная схема приведена на рисунке 1.7. Поскольку D триггер был построен на базе RS-триггера, то следовательно и Т-триггер можно сделать на его основе. Этот вариант схемы приведен на рисунке 1.8.
Данные схемы переключаются с приходом каждого сигнала активного уровня на вход Т. Схемы достаточно просты, однако они не позволяют реализовать Т-триггер. Поскольку каждая из них не сможет пребывать в устойчивом состоянии в период действия активного сигнала на входе Т. В этом случае схемы переходят в автогенераторный режим. Для исключения данного эффекта Т-триггер строят на базе двухступенчатого триггера.

Рисунок 1.7. Реализация Т-триггера на основе D – триггера.

Рисунок 1.8. Реализация Т-триггера на основе RS – триггера.

Двухступенчатые или двухтактные триггеры состоят из двух триггеров. Первый (находящийся левее) называется «ведущий», а второй- «ведомый». Данная структура получила наименование: MS-триггер (Master-Slave). В MS-триггерах не возникает эффекта автогенерации, поскольку каждая ступень данного триггера переключается в то время, когда другая не активна. На рисунке 1.9 представлен вариант построения двухступенчатого тригера на базе D-триггеров, а на рисунке 1.10 – на базе RS-триггеров. Из иллюстраций видно, что ведущий (Master) триггер переключается при наличии сигнала активного уровня (лог.1) на входе С. На его информационные входы поступают сигналы с выходов ведомого. Ведомый (Slave) триггер в этот момент переключится не может, так как на него подается инвертированный сигнал синхронизации. В данном случае он имеет уровень лог. 0. По завершению действия на входе С ведущего триггера активного сигнала и переходе его в уровень лог.0 триггер перестает реагированть на изменения информационных сигналов. Он фиксирует записанную в него информацию. Однако теперь разрешена работа ведомому триггеру, поскольку на его входе синхронизации присутствует активный уровень лог. 1. Триггер воспринимает сигналы с выходов ведущего и переключается в новое состояние, которое и считается состоянием MS-триггера.

Рисунок 1.9. Реализация двухступенчатого Т-триггера на основе D – триггера.

Рисунок 1.10. Реализация двухступенчатого Т-триггера на основе RS – триггера.

ФАЛ Т-триггера имеет вид:
              _           __            _             
Qn+1 = CQn V (QnT V QnT )                (1.6)
Представленные структуры можно «скрыть» за УГО Т-триггера общего вида. Подобное УГО представлено на рисунке 1.11. По характерному внешнему признаку – наличию только одного информационного входа Т можно предположить, что данный триггер асинхронный. Однако это не так. Из рисунков 1.9 и 1.10 хорошо видно, что информационный вход Т по сути является синхронизирующим входом С. Поэтому триггеры данного типа будем называть «псевдоасинхронные Т-триггеры».

Рисунок 1.11. УГО псевдоасинхронного Т – триггера.

Те Т-триггеры, которые имеют специализированные обособленные информационный и синхронизирующий входы будем считать синхронными. Уго данного тириггера приведено на рисунке 1.12. Идентификационным признаком двухступенчатых триггеров является наличие в поле функциональной спецификации УГО двух символов «ТТ».

Рисунок 1.12. УГО синхронного Т – триггера.

Универсальный JK триггер также относится к классу двухступенчатых. Он может быть только синхронным. По логике своей работы JK-триггер наиболее близок к RS-триггеру, но в отличае от последнего не имеет запрещенных входных комбинаций. Вход J является входом установки триггера в состояние лог. 1, а вход К – в солстояние лог. 0. Наличие активных уровней сигналов на данных входах не нарушает логику работу триггера. В этом случае он функционирует как Т-триггер. Функциональная схема JK-триггера приведена на рисунке 1.13, а УГО – на рисунке 1.14. Универсальный JK-триггер может быть использован в качестве базового для реализации на нем всех типов триггеров.
ФАЛ JK-триггера имеет вид:
              _              __            _             
Qn+1 = CQn VC (QnJ V QnK )                (1.7)

 

Рисунок 1.13. Функциональная схема JK–триггера.

 

Рисунок 1.14. УГО JK–триггера.

1.3. Описание лабораторной установки

Лабораторная работа выполняется на типовом учебном стенде. При выполнении работы используются простые логические элементы, расположенные в центральной части стенда.
На лицевой панели блока расположены:

Основными элементами, используемыми в работе являются логические элементы ИЛИ, И, ИЛИ – НЕ, И – НЕ.

1.4. Задание на лабораторную работу

1.4.1. Ознакомиться с составом, назначением каждого функционального узла стенда. Уяснить расположение и назначение тумблеров, кнопок и светодиодов на лицевой панели стенда.
1.4.2. Собрать функциональные схемы и исследовать работу триггеров типа RS, D, T, JK в автономном статическом режиме формирования информационных и синхронизирующих сигналов.
1.4.3. Начертить функциональные схемы и УГО RS, D, T, JK триггеров, привести их ФАЛ
1.4.4. Построить таблицы истинности указанных типов триггеров.
1.4.5. Исследовать работу интегрального JK-триггера, составить его таблицу истинности. Определить приоритеты входов.
1.4.6. Реализовать на интегральных JK-триггерах RS, D, T триггеры и начертить соответствующие функциональные схемы.
1.4.7. При выполнении лабораторной работы руководствоваться методическими указаниями п.6.

1.5.Методические указания

1.5.1. При выполнении п.2. задания руководствоваться схемами, приведенными на рисунках 1.3, 1.4, 1.5, 1.10, 1.13.
1.5.2. Для визуализации состояния триггеров выходы Q и подключить к светодиодам.
1.5.3. В работе необходимо реализовать асинхронные RS-триггеры на элементах И-НЕ и ИЛИ-НЕ и синхронный RS-триггер на любом типе элементов. Убедиться, что триггеры обладают запрещенными комбинациями.
1.5.4. При реализации Т-триггера по схеме, представленной на рисунке 1.8 убедиться в том, что нарушается алгоритм работы триггера.
1.5.5. По результатам проведенных экспериментов составить таблицы истинности для каждого из исследуемых триггеров.
1.5.6. При выполнении п.5 задания использовать JK-триггер, установленный в лабораторном стенде. Синхросигнал для данного триггера необходимо подавать от одновибратора. Запуск одновибратора осуществляется нажатием на кнопку, при этом формируется только один импульс заданной длительности и полярности.
1.5.7. Составить таблицу истинности для интегрального JK-триггера. Для этого в качестве входных рассматривать сигналы: S, R, J, K, C. В ходе эксперимента выяснить приоритетность информационных входов.

1.6. Содержание отчета

Отчет выполняется с требованиями кафедры АиПУ и должен содержать:
1. Функциональные схемы и УГО RS, D, T, JK-триггеров.
2. Таблицы истинности и ФАЛ указанных триггеров.
3. УГО и таблицу истинности интегрального JK-триггера.
4. Функциональные схемы реализации на интегральном JK-триггере D и T триггеров.
5. УГО и цоколевку ИМС К155ТР2, К155ТМ2 К155ТВ1, К155ТВ9.
6. Выводы по выполненной работе.

1.7. Контрольные вопросы

1. В чем отличие комбинационных и последовательностных логических устройств?
2. Какие триггеры называются асинхронными, а какие синхронными?
3. Чем вызвана необходимость введения двухступенчатости триггеров?
4. Какие типы триггеров должны быть двухступенчатыми?
5. Какой тип триггеров называется «универсальным» и почему?
6. Какой тип триггеров имеет запрещенные комбинации входных сигналов и почему?

СОДЕРЖАНИЕ...

2. ЦИФРОВЫЕ АВТОМАТЫ

2.1. Цель работы

Изучение принципов синтеза цифровых автоматов на основе их исходного описания.

2.2. Основные сведения

Цифровой автомат (ЦА) с памятью – это любое последовательностное логическое устройство. Цифровой автомат в общем случае содержит N триггеров, поэтому его состояния характеризуются N-разрядным двоичным словом. В таком случае ЦА может находиться в 2N состояниях. В общем случае ЦА автомат может быть представляет собой систему состоящую из двух подсистем: комбинационной и триггерной. Структура ЦА представлена на рисунке 2.1.

 

 

 

 Рисунок 2.1. Структурная схема цифрового автомата с памятью.

Поведение ЦА характеризуется пятью типами сигналов:
Х – входное кодовое слово;
Z – выходное кодовое слово;
Y – управляющее кодовое слово, обеспечивающее требуемый порядок смены состояний ЦА;
Q – кодовое слово, характеризующее состояние ЦА;
C – сигнал синхронизации, инициирующий процесс переключения триггеров.
Исходное описание работы ЦА может быть задано одним из трех способов: словесным описанием, таблицей состояний или графом переходов. Все формы могут взаимно трансформироваться одна в другую, при этом наиболее лаконичными и наиболее удобными для синтеза являются таблица состояний и граф переходов.
В общем случае правила синтеза ЦА формулируются следующим образом:
1. По условию работы ЦА определяется число необходимых состояний и требуемый объем его триггерной подсистемы;
2. Формализуют описание ЦА путем составления таблицы состояний или графа переходов;
3. Выбирают тип триггера для реализации триггерной подсистемы;
4. Используя формализованный алгоритм работы ЦА (результат п.2) и таблицу истинности выбранного типа триггера составляют расширенную таблицу переходов;
5. Из расширенной таблицы переходов получают функции алгебры логики (ФАЛ), описывающие комбинационную подсистему и минимизируют их;
6. По полученным ФАЛ строят логическую схему ЦА.
Рассмотрим приведенные пункты более подробно.
При словесном способе описания ЦА как правило необходимо определить число его состояний. Оно может быть определено как максимальное число комбинаций выходного кода, которые могут быть получены при одном значении входного сигнала. Из этого показателя по формуле (2.1) определяется объем триггерной подсистемы.

n ≥ log2M                                      (2.1)

где: М – число необходимых состояний ЦА;
n – количество триггеров.
Параметр n должен быть получен путем округления в большую сторону до ближайшего целого значения выражения (2.1). При использовании таблицы состояний или графа переходов объем триггерной подсистемы уже известен.
Таблица состояний и граф переходов отражают картину перехода автомата из одного состояния в другое под воздействием входных сигналов и показывают значения выходных сигналов.
При заполнении таблицы состояний на пересечении j-го столбца и I-той строки записывается дробь. В числителе указывается состояние в которое попадает ЦА после прихода очередного импульса синхронизации С, если он находился в iтом состоянии и на его входе действовал j-тый входной сигнал. В знаменателе указывается текущее значение выходного сигнала, существующее в ЦА до прихода очередного импульса С при нахождении его в том состоянии при действии j-того входного сигнала. Пример таблицы переходов представлен в таблице 2.1.

Таблица 2.1. Таблица состояний цифрового автомата.

S

X

0

1

00

01/0

11/0

01

10/1

00/0

10

11/0

01/0

11

00/1

10/1

Граф переходов представляет собой графическую интерпретацию работы цифрового автомата. Каждое состояние ЦА изображается в виде окружности с указанием кода соответствующего состояния. Переход из одного состояния в другое изображается в виде стрелки. Над стрелкой записывается дробь. В числителе указывается значение входного сигнала под действием которого будет происходить переход. В знаменателе записывается текущее значение выходного сигнала, соответствующее указанному (исходному) состоянию и значению входного сигнала. Граф переходов, соответствующий ЦА, описанному таблице 2.1. представлен на рисунке 2.2.

Рисунок 2.2. Граф переходов цифрового автомата.

Для реализации триггерной подсистемы при синтезе ЦА выбирают синхронный RS, T или JK триггеры. Возьмем для реализации описанного ЦА JK триггер. Таблица истинности этого типа триггера приведена в таблице 2.2.

Таблица 2.2. Таблица истинности JK триггера.

C

J

K

Qn

Qn+1

0

*

*

*

Qn

1

*

*

*

Qn

/

0

0

0

0

/

0

0

1

1

/

0

1

0

0

/

0

1

1

0

/

1

0

0

1

/

1

0

1

1

/

1

1

0

1

/

1

1

1

0

Символом «/» в данной таблице отмечен переход сигнала из состояния Лог.0 в состояние Лог.1. Из таблицы состояний и графа переходов видно, что объем триггерной подсистемы равен 2, а количество состояний – 4. Руководствуясь формальным описанием ЦА, заданным в виде таблицы состояний или графа переходов и таблицы истинности для выбранного типа триггеров построим расширенную таблицу переходов. Данная таблица представлена в таблице 2.3.

Таблица 2.3. Расширенная таблица переходов цифрового автомата.

X

Q1n

Q0n

Q1n+1

Q0n+1

J1

K1

J0

K0

Z

1

2

3

4

5

6

7

8

9

10

0

0

0

0

1

0

*

1

*

0

0

0

1

1

0

1

*

*

1

1

0

1

0

1

1

*

0

1

*

0

0

1

1

0

0

*

1

*

1

1

1

0

0

1

1

1

*

1

*

0

1

0

1

0

0

0

*

*

1

0

1

1

0

0

1

*

1

1

*

0

1

1

1

1

0

*

0

*

1

1

В столбце 1 приведены значения входного сигнала X, воздействующего на ЦА, пребывающий в состоянии, отраженном в столбцах 2 и 3. В результате этого на выходе ЦА появляется сигнал Z и автомат, под действием сигнала синхронизации, переходит в новое состояние, отраженное в столбцах 4 и 5. В ЦА используются два триггера, каждый из них имеет входы J, K, C. На вход С необходимо подавать синхроимпульсы, под действием которых автомат будет переходить в новые состояния. На входы J и K должны быть поданы сигналы, обеспечивающие переход триггеров из состояния Qn в состояние Qn+1. Для определения значений управляющих сигналов необходимо по таблице истинности триггера определить условия перехода его из исходного состояния в новое. Так в первой строке таблицы 2.3. показано, что триггер Т1 должен перейти из состояния 0 в состояние 0. По таблице истинности триггера (таблица 2.2.) находим строки, в которых отражен данный переход. Таких строки две. Рассмотрим их отдельно по таблице 2.4.

Таблица 2.4. Условия перехода триггера в новое состояние.

C

J

K

Qn

Qn+1

/

0

0

0

0

/

0

1

0

0

Из таблицы 2.4. видно, что для обеспечения данного перехода необходимо обеспечить на входе J присутствие Лог.0, состояние же на входе К – безразлично. Данный факт отмечается символом «*». Подобным образом производится анализ условий переключения триггеров для всех остальных ситуаций.
Столбцы 6 – 10 описывают поведение соответствующих выходов комбинационной подсистемы. Фактически комбинационная подсистема представляет логическое устройство со многими выходами. Для его синтеза возможно применение соответствующих методик, учитывающих взаимовлияние функциональных выражений. Однако, для упрощения процедуры синтеза будем рассматривать каждую из функций как независимую от других. Поэтому минимизацию их будем проводить индивидуально.
Для минимизации ФАЛ воспользуемся картами Карно. Аргументами всех отмеченных выше функций являются входные сигналы и исходные состояния автомата (столбцы 1, 2, 3).
Функция Z полностью определена на всех наборах. Соответствующая ей карта Карно приведена на рисунке 2.3.


Рисунок 2.3. Минимизация функции Z.

Из карты Карно выписываем две импликанты, в соответствии с выделенными областями. Минимальная ДНФ для функции Z будет иметь вид:
          __             __  
Z = XQ0n V Q1n Q0n                         (2.2)

Функции J1, J0, K1, K0 являются недоопределенными. Поэтому при минимизации символы «*» могут быть заменены на значения Лог.0 или Лог.1. Карты Карно для функций J1, J0, K1, K0 приведены на рисунках 2.4, 2.5, 2.6, 2.7 соответственно.


Рисунок 2.4. Минимизация функции J1.

В клетки, содержащие символы «*» вписываются символы «0» или «1» для достижения минимальной реализации функции. Минимальная ДНФ для функции J1 будет иметь вид:
          __       _  
J1 = XQ0n V XQ0n                             (2.3)

 
Рисунок 2.5. Минимизация функции K1.

Для функции K1 вид карты Карно аналогичен карте для функции J1. Минимальная ДНФ для функции K1 будет иметь вид:
            __       _  
K1 = XQ0n V XQ0n                           (2.4)


Рисунок 2.6. Минимизация функции J0.

Заменив в карте Карно символы «*» на символ «1» получаем карту содержащую 1 во всех клетках.  Данная карта соответствует функции «Константа 1». Поэтому Минимальная ДНФ для функции J0 будет иметь вид:

J0 = 1                                           (2.5)

Подобное преобразование справедливо и для функции K0. Она также вырождается в функцию «Константа 1».


Рисунок 2.7. Минимизация функции K0.

Минимальная ДНФ для функции K0 имеет вид:

K0 = 1                                          (2.5)

. Функциональные выражения (2.3) и (2.4) представим в виде функции «Исключающее ИЛИ». Получив минимальные ФАЛ комбинационной подсистемы возможно построение функциональной схемы цифрового автомата. Данная схема приведена на рисунке 2.8.

Рисунок 2.8. Функциональная схема цифрового автомата.

2.3. Описание лабораторной установки

Лабораторная работа выполняется на типовом учебном стенде. При выполнении работы используются JK триггеры и простые логические элементы, расположенные в центральной части стенда.
На лицевой панели блока расположены:

Основными элементами, используемыми в работе являются JK триггеры, логические элементы ИЛИ, И, ИЛИ - НЕ, И – НЕ.

2.4. Задание на лабораторную работу

2.4.1. Ознакомиться с составом, назначением каждого функционального узла стенда. Уяснить расположение и назначение тумблеров, кнопок и светодиодов на лицевой панели стенда.
2.4.2. По исходному описанию, представленному в табл. 2.5 (вариант указывается преподавателем),. построить граф переходов.
2.4.3. В соответствии с заданным по варианту типом триггера построить расширенную таблицу переходов.
2.4.4. Произвести минимизацию ФАЛ, описывающих работу комбинационной подсистемы.
2.4.5. Начертить функциональную схему ЦА.
2.4.6. Собрать на стенде и исследовать поведение ЦА.

2.5.Методические указания

2.5.1. В лабораторной работе производится синтез принципиальной схемы цифрового автомата, в соответствии с указанным вариантом задания. Варианты заданий приведены в таблице 2.5.
Таблица 2.5. Варианты индивидуальных заданий.

№ варианта

S

X

Тип триггера

0

1

1

00

01/0

10/1

JK

01

10/0

11/0

10

11/1

00/1

11

00/0

10/1

2

00

01/0

10/1

T

01

10/0

11/0

10

11/1

00/1

11

00/0

10/1

3

00

01/0

11/1

JK

01

10/1

00/0

10

11/1

11/1

11

00/0

01/0

4

00

01/0

11/1

T

01

10/1

00/0

10

11/1

11/1

11

00/0

01/0

5

00

11/0

01/0

JK

01

10/1

11/1

10

00/0

00/0

11

01/0

10/1

6

00

11/0

01/0

T

01

10/1

11/1

10

00/0

00/0

11

01/0

10/1

7

00

01/0

11/1

JK

01

00/0

11/1

10

01/1

11/0

11

01/0

10/1

8

00

01/0

11/1

T

01

00/0

11/1

10

01/1

11/0

11

01/0

10/1

2.5.2. Руководствуясь информацией, представленной в таблице состояний постройте граф переходов.
2.5.3. Перед построением расширенной таблицы переходов необходимо построить таблицу истинности для указанного типа триггера. В вариантах индивидуальных заданий указаны только Т и JK триггеры. Для реализации каждого из них выбирается JK триггер.
2.5.4. Постройте расширенную таблицу переходов, в которой  учтен тип триггера.
2.5.5. Минимизацию ФАЛ, описывающих функционирование комбинационной схемы целесообразно производить при помощи карт Карно. В качестве аргументов функций рассматривать значения входных сигналов и исходные состояния автомата.
2.5.6. Построить принципиальную схему ЦА. Для комбинационной схемы входные сигналы Qin следует подавать с соответствующих выходов триггеров.
2.5.7. Входные воздействия подаются с переключателей SA1 ... SA4 или SA5 ... SA8.
2.5.8.. Синхросигналы для ЦА необходимо подавать от одновибратора. Запуск одновибратора осуществляется нажатием на кнопку, при этом формируется только один импульс заданной длительности и полярности.
2.5.9. Проверку правильности функционирования ЦА необходимо осуществлять по исходной таблице состояний. При этом автомат должен корректно переключаться во все состояния, отмеченные в таблице и формировать на выходе достоверный сигнал.

2.6. Содержание отчета

Отчет выполняется с требованиями кафедры АиПУ и должен содержать:
1. Исходную таблицу состояний.
2. Граф переходов.
3. Таблицу истинности указанного типа триггера.
4. Расширенную таблицу состояний.
5. Процедуры минимизации ФАЛ комбинационной подсистемы ЦА.
6. Функциональную схему реализации цифрового автомата.
7. Выводы по выполненной работе.

2.7. Контрольные вопросы

1. Каким образом определить требуемый объем триггерной подсистемы цифрового автомата?
2. Что указывается в надписях к дугам в графе переходов?
3. В чем отличие выходных сигналов Z и Y комбинационной подсистемы?
4. Что является инициирующим событием для переключения цифрового автомата?
5. Каким образом определяется поведение выходных сигналов группы Y комбинационной подсистемы?
6. Каким образом из расширенной таблицы переходов получается функциональная схема цифрового автомата?

СОДЕРЖАНИЕ...

3. ИССЛЕДОВАНИЕ ФУНКЦИОНАЛЬНЫХ СВОЙСТВ РЕГИСТРОВ

3.1. Цель лабораторной работы

Изучение функциональных свойств универсальных сдвиговых регистров и экспериментальное исследование режимов работы регистра на примере ИМС К155 ИР1.

3.2. Основные сведения

Регистр - это микроэлектронное устройство, предназначенное для хранения и преобразования информации, представленной в двоичной форме. Информация представляется двоичными последовательностями (сигналов) в виде «слов» определенной длины. Слова могут иметь длину кратную 4 битам, т.е. 4, 8, 12, 16 и т.д.
Чтобы регистр мог «запомнить» слово информации, он должен состоять из соответствующего числа ячеек, каждая из которых должна иметь два устойчивых состояния: лог.0 и лог.1. Эти состояния должны сохраняться сколь угодно долго, но могут быть изменены в любой момент времени.
В качестве ячеек обычно используются синхронные D - триггеры, состояние которых отображает слово информации, а каждый триггер хранит 1 бит информации и соответствует одному разряду слова.
В зависимости от наличия и характера связей между ячейками различают: 1) регистры хранения информации; 2) сдвиговые регистры и 3) регистры специального назначения.
Регистры, предназначенные для хранения информации могут работать в двух режимах - записи и хранения информации. При записи «содержимое» ячеек изменяется на новое: в соответствии со значением разрядов входного слова информации. В режиме хранения состояние («содержимое») ячеек регистра остается неизменным пока сохраняется этот режим. Причем во время хранения регистр как бы становится «нечувствительным» к изменению входных информационных сигналов. Такие регистры используются для построения оперативных запоминающих устройств (ОЗУ) ЭВМ. Между ячейками таких регистров взаимных связей нет.
Регистры сдвига (сдвиговые регистры) могут работать в трех режимах: 1) записи; 2) хранения и 3) сдвига (последовательной выдачи) информации. В лабораторной работе изучаются эти регистры, поэтому рассмотрим их принцип действия подробнее.
На рисунке 3.1 приведено УГО 4-х разрядного сдвигового регистра К155ИР1, подлежащего исследованию. В таблице 3.1 представлено назначение выводов ИМС регистра.

Рисунок 3.1. УГО 4-х разрядного универсального сдвигового регистра К155ИР1

Таблица 3.1. Назначение выводов ИМС К155ИР1

Сигнал

Назначение

SI

последовательный вход

D0 - D3

входы данных для параллельной записи

C1

синхронизация сдвига

C2

синхронизация записи

PE

выбор режима
0 – сдвиг        1 - запись

Q0 - Q3

Выходы

Регистры имеет четыре группы входов:
1. Информационные входы параллельной записи D0...Di;
2. Информационные входы последовательной записи: DR - при сдвиге вправо (вниз); DL - при сдвиге влево (вверх) для ИМС К155ИР13 и SI при сдвиге вправо для ИМС К155ИР1;
3. Входы управления режимом работы (выбора режима) V1 и V2 для ИМС К155ИР13 и РЕ для ИМС К155ИР1;
4. Входы общего назначения С, С1, С2 используемые для синхронизации и R - вход сброса.
Рассмотрим более подробно особенности организации и работы регистра К155ИР1.
Сдвиговый регистр К155ИР1 имеет разрядность равную 4 и осуществляет однонаправленный сдвиг информации вправо, имеет раздельные входы синхронизации в различных режимах и один вход выбора режима.
Регистр не имеет входа сброса R. Однако функция сброса может быть легко реализована путем записи в регистр числа 0000.
Входы регистра С1 и С2 являются обратными динамическими, поэтому состояние ячеек регистра может изменяться только в момент спада синхронизирующих импульсов на данных входах (1–>0).

Вход выбора режима PE служит для управления регистром согласно таблице 3.1. При подаче на данный вход лог.1 регистр переводится в режим записи информации по входам D0 ...D3, вход SI не оказывает влияния на состояние ячеек. В регистре не предусмотрен режим хранения информации. Регистр находится в данном состоянии только во время отсутствия активных сигналов на входах синхронизации С1 и С2.
В режиме записи сигналов с информационных входов D0 ...D3 спад сигнала на входе С2 приведет к тому, что слово информации поразрядно одновременно будет занесено в регистр. И на выходах Q0 ...Q3 сигналы примут соответствующие значения (параллельная запись). Если же на вход С2 попадут несколько импульсов, то будет осуществляться повторная запись одного и того же слова информации. А если входное слово успеет измениться, то в регистр будет записано слово, которое оказалось на входах D0 ...D3 в момент последнего тактового импульса.
Режим «сдвига» устанавливается подачей на вход РЕ лог. 0. При этом тактовые импульсы должны подаваться на вход С1. По мере поступления на вход С1 тактовых импульсов, содержимое ячеек регистра «сдвигается» в сторону старших разрядов слова информации. Если принять, что выход Q0 соответствует младшему, а Q3 - самому старшему разрядам слова, то при подаче первого (с момента установки режима) тактового импульса содержимое ячейки Q0 будет переписано в ячейку Q1, содержимое же Q1 - в ячейку Q2 и т.д. Таким образом, слово информации, записанное или хранимое в регистре, первым тактовым импульсом сдвигается (относительно ячеек) в сторону старшего разряда. Очевидно, что второй тактовый импульс сдвинет слово уже на 2 разряда и т.д. При этом с выхода Q3 за 4 такта можно вывести (получить) все слово, начиная со старшего разряда последовательно во времени. Одновременно в ячейку Q0 будет записываться сигнал со входа SI. На рисунке 3.1 УГО регистра показано вертикально и выходы Q0 ...Q3 расположены сверху - вниз. Поэтому сдвиг слова осуществляется «вниз». Если же УГО начертить горизонтально, то выходы Q0...Q3 будут располагаться слева - направо, а сдвиг слова - «вправо». Поэтому Вход SI называется входом последовательной записи информации при сдвиге вправо, а Q3 - выходом регистра с последовательным выводом информации.
Регистры с таким алгоритмом функционирования получили название универсальных, так как их можно использовать для хранения и преобразования информации, а также для деления частоты импульсов, построения фазовращателей и распределителей импульсов и выполнения математических операций с двоичными числами. Например, сдвиг на один разряд в сторону старших разрядов записанного в регистр слова, можно рассматривать, как умножение на 2 двоичного числа, отображаемого этим словом.

3.3. Описание лабораторной установки

Работа выполняется на субблоке «Регистр», входящего в типовой лабораторный стенд. В субблок входят: два 4-разрядных сдвиговых регистра D1 и D2, состояние которых отображается светодиодами HL1...HL8; набор тумблеров SA1 ... SА8 - для подачи на регистр сигналов параллельной записи информации.
Упрощенная функциональная схема лабораторной работы представлена на рисунке 3.2. Функционирование регистра можно исследовать при одиночных тактовых сигналах (однократный запуск) либо при непрерывной последовательности тактовых импульсов. Нажатием кнопки SВ1 формируется одиночный тактовый импульс, генерируемый одновибратором. В связи с ограниченным количеством кнопочных переключателей на лабораторном стенде информация на двух входных линиях задается в виде констант. Константы могут принимать значения Лог.0 или Лог.1.
Константа, поданная на входы РЕ регистров, осуществляет выбор режима работы: «запись» или «сдвиг». Константа, подаваемая на вход SI микросхемы D1, определяет значение записываемого, в последовательной форме, информационного разряда. Вход SI микросхемы D2, в зависимости от условий эксперимента, подключается к различным выходам микросхемы D1. Все входы синхронизации обоих микросхем соединяются в одну линию, подключаемую к выходу одновибратора.

Рисунок 3.2. Упрощенная функциональная схема лабораторной работы.

3.4. Задание на лабораторную работу

3.4.1. Ознакомиться с принципом действия универсального регистра К155 ИР1, режимами его работы, УГО, уяснить состав и назначение выводов регистра по рисунку 3.1. и таблице 3.1.
3.4.2. Уяснить состав и назначение элементов субблока и их расположение на лицевой панели. по рисунку 3.2.
3.4.3. Исследовать работу регистра в различных режимах при схемном включении как 4-х разрядный регистр, 8-ми разрядный и 8-ми разрядный кольцевой при одиночных тактовых импульсах. Составить таблицы истинности и начертить временные диаграммы для каждого режима.
3.4.4. По указанию преподавателя выполнить индивидуальное задание, приведенное в таблице 3.2, начертить схему включения регистра и временные диаграммы его работы, определить назначение схемы.

Таблица 3.2. Варианты индивидуального задания.

Значение сигналов на входах

D0

D1

D2

D3

D4

D5

D6

D7

C1,C2

PE

SI

1

1

0

0

0

0

1

0

1

\

Q2

1

2

1

0

0

0

0

0

1

0

\

Q2

0

3

0

0

0

1

0

0

0

0

\

Q7

1

4

0

0

0

0

0

0

1

1

\

Q4

1

5

0

0

0

0

1

1

1

1

\

Q3

1

6

1

0

1

0

0

0

0

0

\

Q5

0

7

0

0

1

1

0

0

1

1

\

Q5

1

8

1

0

0

1

0

0

0

1

\

Q6

0

3.5. Методические указания

3.5.1. С принципом действия универсального регистра следует ознакомиться, по материалам лекционного курса.
3.5.2. Выполнение задания по п.3.4.3 начните с режима параллельной записи информационного слова в регистр. Значение слова информации выберете самостоятельно. Подайте на вход РЕ соответствующий уровень и нажмите кнопку SB1. Проделайте эксперименты, когда новое слово как бы записывается на «старое». Сделайте выводы о том, что стирание информации равносильно записи «нулевого» слова 00000000.
3.5.3. Скоммутируйте регистры так, чтобы они образовали 8-ми разрядный сдвиговый регистр. Для этого необходимо осуществить информационную связь двух автономно функционирующих регистров. Характер коммутации определите самостоятельно.
Выполните эксперименты по исследованию работы регистра в режиме сдвига «вправо». На вход РЕ подайте соответствующий уровень и, нажимая кнопку «SB1», наблюдайте состояние регистра по светодиодам. Объясните результат.
3.5.4. Запишите в регистр слово 10011010 –> D0,D1,D2,D3,D4,D5,D6,D7 параллельно с соответствующих входов.
Переведите регистр в исследуемый режим, подключите на вход SI сигнал лог. 0 и, подавая тактовые импульсы, наблюдайте состояние регистра, затем проделайте тот же эксперимент, задав на входе SI сигнал лог 1. Объясните результат.
3.5.5. Проведите эксперименты с последовательной записью слова информации в регистр. Слово выберете самостоятельно.
3.5.6. Выполните эксперименты с регистром, замкнутым  «в кольцо». Для этого, произведите необходимые коммутации входных линий SI с выходными линиями Q. После записи слова в регистр, переведите его в режим сдвига, подключив на вход РЕ сигнал лог. 0. Подавая тактовые импульсы, наблюдайте изменение состояния ячеек. Проделайте эксперимент, записав в регистр только одну Лог.1 в младший разряд. Постройте временную диаграмму и сделайте вывод.
3.5.7. Индивидуальное задание выполняется по данным таблицы 3.2, либо преподаватель может предложить свой дополнительный вариант. Вначале следует его выполнить теоретически на бумаге.
Чтобы построить схему регистра, надо начертить его УГО (рисунок 3.1), затем сделать соединение выводов согласно заданному варианту (таблица 3.2). Равенство входного сигнала выходному означает то, что соответствующий вход следует подключить к указанному выходу регистра.
Например, по варианту 5 таблицы 3.2  PE = Q3.
Это требует соединения выхода 3 регистра со входом PE (обратная связь).
Анализ работы регистра следует начать с предположения, что содержимым ячеек является нулевое слово. Выяснить значение сигналов по всем входам, определить режим работы регистра. Затем проанализировать состояние ячеек при подаче первого тактового импульса. Одновременно стройте временные диаграммы, отображая на них значения сигналов по тем входам/выходам, на которых сигналы могут изменяться. Отобразив на диаграммах состояние регистра после первого тактового импульса, рассмотрите его реакцию на второй тактовый импульс и так далее, подрисовывая каждый раз временные диаграммы. Анализ заканчивают тогда, когда значения сигналов на всех выходах начнут повторяться. Проанализируйте диаграммы в целом, отметив на них интервалы различных режимов работы регистра и длительности цикла. Определите соотношение частоты следования тактовых импульсов на выходах регистра. Рассчитайте скважность выходных импульсов. Эти данные позволят сделать выводы по назначению и применению рассматриваемой схемы и, в том числе, регистра.

3.6. Содержание отчета.

Отчет должен быть оформлен согласно требованиям, принятым на  кафедре АиПУ, и содержать:
1. Цель лабораторной работы.
2. Функциональную схему, УГО регистра К155 ИР1 с цоколевкой, таблицу режимов работы.
3. Таблицы истинности и временные диаграммы работы 4-х разрядного регистра, 8-ми разрядного регистра, 8-ми разрядного кольцевого регистра. Выводы, по результатам экспериментов.
4. Схему включения регистра и диаграммы его работы, выводы по назначению схемы согласно варианту индивидуального задания.

3.7. Контрольные вопросы

1. Почему регистры К155ИР13, К155ИР1 называются универсальными? Объясните принцип действия регистров.
2. Как установить режим работы регистра? Какие режимы имеют универсальные регистры? Регистры хранения информации?
3. Что означает режим сдвига «вправо», «влево»? Как они реализуются и зачем используются?
4. Какими особенностями обладают сдвиговые регистры при работе по схеме «замкнутого кольца»? Для чего такое включение регистра можно использовать?
5. Как построить 12-разрядный сдвиговый регистр на основе ИМС К155ИР13 и К1555ИР1? В каких режимах может работать такой регистр?
6. Как организовать режим сдвига «влево» у регистра К155ИР1? Приведите схему включения.
7. Каким образом универсальный регистр можно использовать для деления частоты импульсов?
8. Как применить универсальный сдвиговый регистр для преобразования параллельного кода в последовательный? Какие режимы для этого необходимы?
9. Как исследовать сдвиговый регистр в качестве расщепителя одной последовательности импульсов на 2 или 3 и более последовательностей? Как определить при этом фазовый сдвиг между различными последовательностями?

СОДЕРЖАНИЕ...

4. СЧЕТЧИКИ ИМПУЛЬСОВ

4.1. Цели лабораторной работы

Изучение функционального назначения и свойств двоичных счетчиков импульсов, практическое ознакомление с режимами работы и применением двоичных счетчиков ИМС К155ИЕ9 и К155ИЕ13.

4.2. Основные сведения

Счетчики относятся к типовым последовательностным логическим устройствам цифровой техники. Они предназначены для счета количества импульсов и представления результата счета в той или иной системе счисления. По формату представления результата счетчики делятся на двоичные и двоично-кодированные. Соответственно, информация на выходах отображается в двоичном или двоично-кодированном формате. Наибольшее применение в устройствах автоматики вычислительной техники нашли двоичные счетчики. В них результат счета отображается двоичным k-разрядным числом, соответствующих количеству импульсов, поступивших на вход (вход счета).
По способу выдачи результата различают счетчики с параллельной (одновременной) и последовательной выдачей информации. В первом случае двоичное число-результат выдается одновременно всеми разрядами, поэтому счетчик имеет k выходов (k - число разрядов и ячеек счетчика). Во втором случае результат отображается моментом появления импульса на выходе (одном) счетчика относительно некоторого маркерного (опорного) момента времени - начала счета. Фактически результат счета представлен временным интервалом между маркерным и выходным импульсами (фазоимпульсное представление информации). Примером счетчика с фазоимпульсным представлением информации является микросхема К155ИЕ1 - декадный делитель. В данной лабораторной работе исследуется счетчики с параллельной выдачей информации.
В зависимости от способа управления процессом счета и принципа действия счетчики делятся на две группы: асинхронные и синхронные. В асинхронных счетчиках ячейки меняют состояние в ответ на появление определенного уровня на управляющем входе. У синхронных счетчиков изменение состояний ячеек происходит одновременно, под действием синхронизирующих импульсов. При этом инициатором смены состояний является перепад входного сигнала: 1–>0 либо 0–>1. В работе исследуются синхронные счетчики: двоичный К155ИЕ13 и двоично-десятичный К155ИЕ9.
Счетчики по способу управления подразделяются на суммирующие, вычитающие и реверсивные. В суммирующих счетчиках к содержимому ячеек прибавляется единица при подаче на вход счета одного импульса.
Например, содержимое 4-разрядного счетчика отображалось двоичным числом 1011. После подачи на вход одного импульса в счетчике будет результат
1011 + 0001 = 1100
Если счетчик вычитающий, то содержимое уменьшается на единицу, т.е.
1011 - 0001 = 1010
Реверсивный счетчик обладает этими двумя свойствами, т.е. может работать как в режиме суммирования, так и в режиме вычитания. Как правило, для этого имеется два входа: "+1" и "-1". Путем выбора входа, на который будут поступать импульсы, можно менять режим работы и, следовательно, изменять содержимое счетчика.
На рисунке 4.1 приведено условное графическое обозначение (УГО) ИМС К155ИЕ9 - 4-разрядного синхронного двоично-десятичного счетчика импульсов. Кроме названных, он имеет дополнительные входы и выходы, наличие которых расширяет функциональные свойства и применение микросхемы. Назначение входов и выходов счетчика указано в таблице 4.1.

Рисунок 4.1. - УГО двоично-десятичного счетчика К155ИЕ9.

Таблица 4.1. Назначение выводов счетчика К155ИЕ9

Обозначение

Назначение вывода

D0….D3

Выход информации

С

Вход синхронизации записи с информационных входов D1,….D8

____
EWR

Разрешение записи

EC1 (ECT)

Вход разрешения счета

EC2 (ECR)

Вход разрешения переноса

__
R

Входсброса

Q0,…Q3

Выходы информационные

СR

Выход сигнала ПЕРЕНОС

Счетчик может работать в двух режимах: записи и счета. Реализация данных режимов возможна только тогда, когда на входе сброса R присутствует сигнал логической единицы. В противном случае счетчик не реагирует на какие-либо входные сигналы. На информационных выходах Q0,...,Q3  и выходе CR устанавливаются сигналы лог.0.
                                                                                                              ____
Для перевода счетчика в режим записи  необходимо на вход EWR подать сигнал лог. 0, состояние сигнала на входах. ECR и ECT при этом произвольное. По фронту сигнала на входе С значения логических уровней сигналов D0,...,D3 заносятся в соответствующие ячейки счетчика. При этом взаимные связи между ячейками игнорируются, а состояния ячеек меняются одновременно.
Для обеспечения возможности функционирования счетчика в режиме счета, эквивалентном режиму
                                                                                                  ____
суммирования, необходимо присутствие лог. 1 на входе EWR и сигналов лог. 0 на входах ECR и ECT. Тактовые импульсы, поступающие на вход С действует на ячейки по таким связям, что содержимое счетчика увеличивается на единицу. То есть меняется состояние ячейки самого младшего разряда, а сигнал переноса (если он возникает) меняет состояние ячейки следующего большего разряда. И так далее по мере поступления импульсов, пока в счетчике не окажется самое большое число: 1001(2)= 9(10). Очевидно, что следующий импульс обнулит ячейки (0000) и должен сформировать сигнал переноса в старший разряд. Этот сигнал появляется на выходе CR. При последующих импульсах на входе С процесс счета повторяется. Таким образом, 4- разрядный счетчик , в целом, проявляет себя как делитель по модулю 10: каждый 10-й импульс переводит счетчик в состояние, соответствующее его состоянию до начала счета.
Вторым счетчиком, исследуемым в работе, является синхронный реверсивный двоичный счетчик К155ИЕ13. На рисунке 4.2 приведено его УГО. Назначение входов и выходов счетчика указано в таблице 4.2.

Рисунок 4.2. УГО двоичного реверсивного счетчика К155ИЕ13.

Таблица 4.2 Назначение выводов счетчика К155ИЕ13

Обозначение

Назначение вывода

D0….D3

Выход информации

____
EWR

Разрешение записи

С

Синхронизация счета

__
EC

Вход разрешения счета

      ___
E-1/E+1

Вход выбора направления счета
0 – суммирование (инкрементирование)
1 – вычитание (декрементирование)

Q0,…Q3

Выходы информационные

BR

Выход наращивания счета

__
CR

Выход сигнала ПЕРЕНОС

В отличие от предыдущего, коэффициент пересчета данного счетчика составляет 16. Счетчик является реверсивным и может работать в трех режимах: записи, суммирования (инкрементирования) и вычитания (декрементирование). В микросхеме не предусмотрен вход сброса.
Для записи информации в счетчик необходимо на вход подать сигнал лог. 0, состояние сигналов на остальных управляющих входах при этом произвольное. Режим записи является асинхронным, поскольку установка счетчика в заданное состояние осуществляется уровнем сигнала на входе записи .
Для перевода счетчика в режим суммирования, необходимо присутствие лог. 1 на входе и сигналов лог. 0 на входах EC и . Тактовые импульсы, поступающие на вход С, действует на ячейки по таким связям, что содержимое счетчика увеличивается на единицу. Функционирование счетчика в данном режиме подобно функционированию ИМС К155ИЕ9 в соответствующем режиме. Счет продолжается до достижения максимального числа: 1111(2)= 15(10). Следующий импульс обнулит ячейки (0000) и формируются сигнал переноса в старший разряд , совместно с сигналом на выходе наращивания разрядности BR. При последующих импульсах на входе С процесс счета повторяется. Таким образом, 4- разрядный счетчик, в целом, проявляет себя как делитель по модулю 16: каждый 16-й импульс переводит счетчик в состояние, соответствующее его состоянию до начала счета.
В отличие от режима суммирования, в режиме вычитания содержимое счетчика уменьшается на единицу в ответ на каждый импульс по входу "-1". Согласно правилам вычитания двоичных чисел, если уменьшаемое меньше вычитаемого, то из следующего старшего разряда уменьшаемого "занимается" единица, а затем производится вычитание. При этом. после "займа" единицы, во всех младших разрядах уменьшаемого, начиная с разряда где была взята единица, ставятся 1, а в разряде, с которого начинается вычитание и значение которого оказалось меньше значения разряда вычитаемого, ставится цифра 2 и производится вычитание.
Например, содержимое счетчика было нулевым 0000(2) и на вход "-1" подается импульс: 0000 - 0001 =?  Тогда процесс вычитания будет выполняться так:

Таким образом, после вычитания единицы в счетчике окажется число 1111(2) = 15(10), а сигнал "заем" появится на выходе , совместно с сигналом на выходе наращивания разрядности BR в виде импульса Лог.0. Следующие импульсы по входу "-1" будут уменьшать содержимое счетчика без появления сигнала "заем" до тех пор, пока вновь его содержимое не окажется нулевым. Это произойдет на 16 импульсе. Затем процесс будет повторяться.
Такую работу можно организовать изменением связей между ячейками счетчика. Поскольку физические связи в микросхеме неизменны, то у реверсивных счетчиков предусмотрены оба варианта
                                                                                                                                                            ___
связей. Выбор варианта осуществляется подачей сигнала выбора направления на вход "E-1/E+1".
Двоично-кодированные счетчики строятся на основе двоичных путем введения обратных связей. Различают три способа организации двоично-кодированных счетчиков:
- управляемого сброса;
- исключения лишних состояний;
- принудительной установки.
Для организации двоично-кодированного счетчика по способу управляемого сброса необходимо к выходам двоичного счетчика подключить комбинационную схему. Данная схема должна быть настроена на комбинацию равную требуемому модулю счета. Выход комбинационной схемы подключается ко входу сброса счетчика, образуя обратную связь. На рисунке 4.3 приведен пример реализации счетчика на 10. При достижении счетчиком комбинации, равной модулю пересчета комбинационная схема подает активный сигнал на вход сброса счетчика, в результате чего он переходит в комбинацию «0000».

Рисунок 4.3. Организация счетчика на 10 по способу управляемого сброса.

Способ исключения лишних состояний во многом похож на описанный выше. В нем также задействуется дополнительная комбинационная схема. Однако, она настраивается на комбинацию, на единицу меньшую требуемого модуля счета. Выход же комбинационной схемы подключается ко входу установки счетчика в единицы. При достижении счетчиком комбинации, на которую настроена комбинационная схема, производится его перевод в состояние «1111». Далее, по следующему тактовому импульсу, он переходит в комбинацию «0000» и счет повторяется с начала. Схема реализации счетчика на 10 по способу исключения лишних состояний приведена на рисунке 4.4.

Рисунок 4.4. Организация счетчика на 10 по способу исключения лишних состояний.

Для организации двоично-кодированного счетчика по способу принудительной установки необходимо, чтобы базовый счетчик имел входы предустановки. Кроме того, он должен обладать выходами переноса или переполнения. Данный способ организации также предусматривает наличие обратной связи в счетчике. Она устанавливается с выхода переполнения или займа на вход синхронизации записи. При реализации данного способа счетчик может быть инкрементирующим или декрементирующим. При реализации двоично-кодированного счетчика на декрементирующем счетчике на его информационные входы необходимо бодать двоичную комбинацию на единицу меньшую требуемого модуля счета. Данный счетчик последовательно будет проходить комбинации в сторону уменьшения. При попытке перехода из комбинации «0000» в комбинацию «1111» на его выходе займа возникнет импульс. По данному импульсу будет произведена повторная запись исходной комбинации в счетчик. В качестве примера на рисунке 4.5. приведена реализация счетчика на 10 на основе ИМС К155ИЕ7.
При реализации двоично-кодированного счетчика на основе инкрементирующего необходимо в качестве сигнала обратной связи выбирать сигнал переполнения. Исходная комбинация, записываемая в данном случае в счетчик, должна представлять разность между максимальным количеством комбинаций двоичного счетчика и требуемым модулем пересчета.

Рисунок 4.4. Организация счетчика на 10 по способу принудительной установки.

4.3. Состав лабораторной установки

Лабораторная работа выполняется на субблоке "счетчики" унифицированного лабораторного стенда.
Функциональные свойства счетчиков на примере микросхем К155ИЕ9 и К155ИЕ13, представляющих собой, соответственно: двоично-десятичный счетчик и двоичный реверсивный счетчик.
Для задания сигналов по информационным входам служат тумблеры SA1÷SA8 и коммутационные гнезда "+" и "^". Путем коммутации этих гнезд со входами можно задать функциональные значения сигналов по каждому информационному входу счетчика.
Для визуализации состояния счетчиков их выходы подключаются к светодиодным индикаторам.

4.4. Задание на лабораторную работу

4.4.1. Уяснить принципы построения и режимы работы микросхем К155ИЕ9 и К155ИЕ13.
4.4.2. Начертить УГО счетчиков и построить временные диаграммы их работы в режимах счета и записи информации. Построить таблицу режимов работы счетчиков по форме таблицы 4.3 и таблицы 4.4.
4.4.3. Исследовать работу счетчиков при одиночных импульсах по входу счета в различных режимах: сначала без, а затем с предварительной записью информации. Сделать выводы по результатам экспериментов.
4.4.4. Выполните индивидуальные задания согласно таблицы 4.5. Вариант указывается преподавателем. Постройте функциональную схему включения счетчика и временные диаграммы работы устройства. Сделайте выводы по применению счетчиков.
Задание выполнить согласно указаниям п. 4.5.3.(см. ниже)

Таблица 4.3. Режимы работы ИМС К155ИЕ9

Режим

Значение сигналов по входам

Значение сигналов на выходах

 

R

C

EWR

ECR

ECT

D3

D2

D1

D0

Q3

Q2

Q1

Q0

CR

Записи

1

/

0

0

0

 

 

 

 

 

 

 

 

 

 

1

/

0

0

1

 

 

 

 

 

 

 

 

 

 

1

/

0

1

0

 

 

 

 

 

 

 

 

 

 

1

/

0

1

1

 

 

 

 

 

 

 

 

 

Счета

1

/

1

0

0

Х8

Х4

Х2

Х1

~

~

~

~

~

. . .

1

/

1

0

0

Х8

Х4

Х2

Х1

~

~

~

~

~

 

1

/

1

1

0

Х8

Х4

Х2

Х1

~

~

~

~

~

 

1

/

1

1

1

Х8

Х4

Х2

Х1

~

~

~

~

~

 

1

/

1

1

1

Х8

Х4

Х2

Х1

~

~

~

~

~

Сброса

0

*

*

*

*

Х8

Х4

Х2

Х1

 

 

 

 

 

Таблица 4.4. Режимы работы ИМС К155ИЕ13

Режим

Значение сигналов по входам

Значение сигналов на выходах

 

EWR

C

E-1/
Е+1

EC

D3

D2

D1

D0

Q3

Q2

Q1

Q0

CR

BR

Записи

0

0

0

1

 

 

 

 

 

 

 

 

 

 

 

0

0

1

1

 

 

 

 

 

 

 

 

 

 

 

0

1

0

1

 

 

 

 

 

 

 

 

 

 

 

0

1

1

1

 

 

 

 

 

 

 

 

 

 

Сумми-рование

1

/

0

0

Х8

Х4

Х2

Х1

~

~

~

~

~

~

. . .

1

/

0

0

Х8

Х4

Х2

Х1

Х8

~

~

~

~

~

Вычи-тание

1

/

1

0

Х8

Х4

Х2

Х1

Х8

~

~

~

~

~

. . .

1

/

1

0

Х8

Х4

Х2

Х1

Х8

~

~

~

~

~

4.5. Методические указания.

4.5.1.Эксперименты по исследованию режимов работы счетчиков спланируйте самостоятельно так, чтобы получить подтверждение (или опровержение) результатам анализа рисунков 4.1 и 4.2, и данным таблиц 4.1, 4.2. Это и составит предмет для окончательных выводов по свойствам микросхем К155ИЕ9  и К155ИЕ13.
4.5.2. Поставьте опыт в режимах счета с автоматической предустановкой по достижению конца счета. Сделайте выводы по экспериментам и объясните результаты. Для заполнения таблиц 4.3 и 4.4. необходимо руководствоваться значениями входных сигналов, приведенными в таблицах. В режимах счета должны быть исследованы полные циклы работы счетчиков, т.е. перебраны все М комбинаций (М – модуль счета). Под знаком тильда (~) понимается то, что должен производиться перебор комбинаций.
4.5.3. По указанию преподавателя выполните один из вариантов индивидуального задания. В каждом варианте заданий необходимо организовать двоично-кодированный счетчик. Такой счетчик можно рассматривать в качестве делителя входной частоты импульсов. Способы реализации и типы счетчиков различаются в зависимости от варианта. Варианты заданий приведены в таблице 4.5.

Таблица 4.5. Варианты индивидуальных заданий.


варианта

Счетчик

Режим

Способ организации

Коэффициент
деления

1.

ИЕ9

+1

Управляемый сброс

7

2.

ИЕ13

+1

Принудительная установка

7

3.

ИЕ9

+1

Управляемый сброс

5

4.

ИЕ13

+1

Принудительная установка

5

5.

ИЕ9

+1

Принудительная установка

8

6.

ИЕ13

-1

Принудительная установка

9

7.

ИЕ13

-1

Принудительная установка

12

8.

ИЕ13

-1

Принудительная установка

13

9.

ИЕ9

+1

Принудительная установка

6

10.

ИЕ13

+1

Принудительная установка

14

4.5.4. Предполагается, что импульсы счета поступают на входы счетчиков непрерывно с фиксированным периодом, равным t. Поскольку счетчики имеют динамическую синхронизацию т.е. тактируются фронтом сигнала, то значение скважности входных импульсов не существенно при реализации индивидуального задания.

4.5.5. Счетчик К155ИЕ9 является инкрементирующим, поэтому во всех вариантах ему назначен режим «+1». Счетчик К155ИЕ13 реверсивный. Он может выполнять операции инкремента и декремента. Направление счета для данного счетчика задается в столбце «режим» таблицы 4.5. Однако, данный счетчик не имеет входа сброса, поэтому двоично-кодированные счетчики на его основе следует организовывать по способу принудительной установки.
4.5.6. Для организации управляемого сброса счетчика необходимо к его выходам подключить комбинационную схему. Данная схема должна быть настроена на определенную комбинацию. При появлении на выходах счетчика этой комбинации схема сформирует активный выходной сигнал. В качестве сигнала обратной связи он должен быть подан на вход сброса счетчика.
4.5.7. Для реализации способа принудительной установки необходимо ввести обратную связь по входу “” микросхемы и выбрать определенное число предустановки (m) счетчика. Выход, с которого надо “взять” обратную связь, и выбор названного числа (“m”) определите самостоятельно, руководствуясь результатами выполненных экспериментов, и на основе анализа временных диаграмм.

4.6. Содержание отчета

Отчет оформляется в соответствии с требованиями, принятыми на кафедре АиПУ, и должен содержать:
1. Цель лабораторной работы.
2. УГО счетчиков К155ИЕ9 и К155ИЕ13, их цоколевку, таблицы назначения выводов ИМС и режимов работы.
3. Упрощенные функциональные схемы экспериментов при счете с суммированием, с вычитанием с предустановкой и без нее, при автоматической предустановке в конце цикла счета.
4. Таблицы с результатами экспериментов, представленные по форме таблиц 4.3 и 4.4.
5. Выводы по результатам экспериментов.
6. Схему включения счетчика, диаграммы работы ее согласно индивидуальному заданию и выводы по назначению и применению этой схемы и счетчиков импульсов.

4.7. Контрольные вопросы

1. По каким признакам счетчики импульсов классифицируются?
2. В чем отличие синхронных счетчиков от асинхронных?
3. В чем отличие двоичных счетчиков от двоично-кодированных?
4. Каково назначение выводов счетчиков К155ИЕ9 и К155ИЕ13?.
5. В каких режимах могут работать счетчики К155ИЕ9 и К155ИЕ13? Объясните их работу в каждом из режимов.
6. Как построить многоразрядный двоичный счетчик из микросхем К155ИЕ13? Приведите примеры схемы.
7. Каким образом можно изменить коэффициент пересчета у счетчика?
8. В каком случае непосредственная обратная связь с выхода CR на вход ”C” обеспечит правильную работу счетчика в режиме счета с заданным коэффициентом пересчета?
9. Чем отличаются микросхема К155ИЕ9 от К155ИЕ13?

СОДЕРЖАНИЕ...

ЛИТЕРАТУРА

1. Цифровые устройства и микропроцессорные системы. – Калабеков Б.А. М.: Радио и связь 1997.
2. Аналоговая и цифровая электроника: Учебник для вузов/ Ю.Ф. Опадчий, О.П. Глудкин, А.И. Гуров; Под ред. О.П. Глудкина. – М.: Радио и связь, 1996.
3. Цифровые интегральные микросхемы. Справочник .П.П. Мальцев, Н.С. Долидзе, М.И. Критенко и др. – М.: Радио и связь, 1994.
4.Популярные цифровые микросхемы:  Шило В.Л. Справочник. – М.: Радио и связь, 1988.
5. Цифровые интегральные микросхемы: Справочник/ М.И. Богданович, И.Н. Грель, С.А. Дубина и др. Мн.: Беларусь, Полымя, 1996.
6. Логические ИС КР1533, КР1554. Справочник/ И.И. Петровский, А.А. Троян, В.С. Чувелев. М.: БИНОМ, 1993.

Используются технологии uCoz